Skip to main content
Fig. 5 | Micro and Nano Systems Letters

Fig. 5

From: Low-temperature smoothing method of scalloped DRIE trench by post-dry etching process based on SF6 plasma

Fig. 5

Influence of the SF6 gas flows in the scallop smooting RIE process. a Average scallop depths of trenches as a function of each SF6 flow condition. As-is DRIE represents the trench before scallop smoothing. The error bar under each condition represents the standard error of 5 averaged scallop depths. SEM images of sidewalls (b) near the top area and (c) at the bottom of the trenches under each SF6 flow condition. All scale bars in SEM images are 1 μm

Back to article page