Skip to main content
Fig. 1 | Micro and Nano Systems Letters

Fig. 1

From: Low-temperature smoothing method of scalloped DRIE trench by post-dry etching process based on SF6 plasma

Fig. 1

Experimental process of scallop smoothing of DRIE trench by RIE-based SF6 plasmas. This process flow represents a single trench of 64 × 8 arrays. a Patterning the photoresist with a circular pattern mask on the silicon handle layer of an SOI wafer. b 230 μm deep DRIE of the silicon handle layer. (c) Removing the photoresist on the surface of the handle layer and ashing the passivation layer on the sidewall of the trench. d Transferring black ink onto the silicon surface for masking the surface. e Scallop smoothing using subsequent RIE. f Final smoothened trench after cleaning the black ink

Back to article page