Skip to main content

Wet anisotropic etching characteristics of Si{111} in NaOH-based solution for silicon bulk micromachining

Abstract

Silicon bulk micromachining is extensively employed method in microelectromechanical systems (MEMS) for the formation of freestanding (e.g., cantilevers) and fixed (e.g., cavities) microstructures. Wet anisotropic etching is a popular technique to perform silicon micromachining as it is low-cost, scalable, and suitable for large scale batch processing, which are the major factors considered in the industry to reduce the cost of the product. In this work, we report the wet anisotropic etching characteristics of Si{111} in sodium hydroxide (NaOH) without and with addition of hydroxylamine (NH2OH). 10M NaOH and 12% NH2OH are used for this study. The effect of NH2OH is investigated on the etch rate, etched surface roughness and morphology, and the undercutting at mask edges aligned along < 112 > direction. These are the major etching characteristics, which should be studied in a wet anisotropic etchant. A 3D laser scanning microscope is utilized to measure the surface roughness, etch depth, and undercutting length, while the etched surface morphology is examined using a scanning electron microscope (SEM). The incorporation of NH2OH in NaOH significantly enhances the etch rate and the undercutting at the mask edges that do not consist of {111} planes. To fabricate freestanding structure (e.g., microcantilever) on Si{111} wafer, high undercutting at < 112 > mask edges is desirable to reduce the release time. Moreover, the effect of etchant age on the abovementioned etching characteristics are investigated. The etch rate and undercutting reduce significantly with the age of the modified NaOH. The present paper reports very interesting results for the applications in wet bulk micromachining of Si{111}.

Introduction

Wet anisotropic etching is a fundamental process for the fabrication of variety of components in the field of microelectromechanical systems (MEMS) [1,2,3,4,5]. Many kinds of MEMS components (e.g., cantilever, cavity, diaphragm, etc.) are fabricated through wet anisotropic etching-based silicon bulk micromachining of {100}, {110} and {111} oriented silicon wafers for different applications [1,2,3,4,5,6,7,8,9,10,11,12,13,14]. In addition, wet anisotropic etching of Si{111} is utilized to fabricate complex structures using deep reactive ion etching (DRIE) assisted wet etching [7,8,9,10,11,12]. The Si{111} wafers can also be used to control the gap between the freestanding structure and the bottom surface [10, 11]. Silicon wet anisotropic etching is usually carried out in alkaline solution, which can be either an organic (e.g., tetramethylammonium hydroxide (TMAH)) or an inorganic compound (e.g., potassium hydroxide (KOH), and sodium hydroxide (NaOH)) [15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32]. TMAH and KOH are comprehensively studied alkaline solutions for silicon wet anisotropic etching. However, limited number of studies have been reported for other kinds of alkaline solutions such as NaOH, ethylenediamine pyrocatechol water (EDP), cesium hydroxide (CsOH), ammonium hydroxide (NH4OH), Hydrazine, etc. [25,26,27,28,29,30,31,32,33,34,35,36]. Recently, we reported the etching characteristics of Si{100} and Si{110} in NaOH without and with addition NH2OH. A significant improvement in etching characteristics is observed when NH2OH is added into NaOH solution [31, 32]. Hence, it is very important to investigate the etching characteristics of Si{111} in NaOH-based solution for application in MEMS.

In all kinds of alkaline solutions, Si{111} planes are the slowest etch rate planes. Hence, the mask edges aligned along a crystallographic direction comprising {111} planes exhibit least undercutting. When an arbitrary mask opening is etched in alkaline solution, severe undercutting takes place at the edges that do not contain {111} planes. The undercutting continues till it encounters {111} planes. To fabricate an etched profile of controlled dimensions, the edges of the mask patterns must be aligned along the directions comprising {111} planes (e.g., < 110 > directions on Si{100} and Si{111} surfaces, < 112 > and < 110 > directions on Si{110} surface). As stated in previous paragraph, Si{111} is an important orientation to fabricate complicated microstructures using wet anisotropic etching or DRIE assisted wet anisotropic etching [10, 11]. Stereographic projection, which is schematically presented in Fig. 1, provides very important information about the crystallographic planes on wafer surface that appear at specific crystallographic directions. Moreover, it is very useful to know the angles between different planes and directions. In Fig. 1, solid blue circle represents the {111} planes projected from the top hemisphere, while open blue circle indicates the {111} planes projected from the bottom hemispheres. {111} planes denoted by solid blue circle make an angle of 109.5º with wafer surface plane and 60º with each other, however the {111} planes depicted by open blue circle form an angle of 70.5º with the top surface of the wafer and 60º with each other as illustrated in Fig. 1. The < 110 > crystallographic directions on Si{111} surface, as shown in Fig. 1, comprise {111} planes that emerge during wet anisotropic etching process. Various types of shapes formed by < 110 > crystallographic directions at which {111} planes appear during wet anisotropic etching process are described in Fig. 2. These shapes are schematically presented on Si{111} wafer surface in Fig. 3a. Sidewalls of the etched profiles are created by {111} planes when these shapes are etched in an anisotropic etchant as shown in Fig. 3b. The cross-sectional views of the etched profiles are exhibited in Fig. 3c.

Fig. 1
figure 1

Schematic representation of stereographic projection of {111} silicon: a (111) plane inside a unit cell, b unit cell at the center of a sphere which is used to project different crystallographic planes on 2D surface, and c stereographic projection exhibiting different planes. The {111} planes projected from the top and bottom hemispheres are shown by solid and open blue circles, respectively. Three {111} planes shown by blue color dots make an angle of 109.5º to the silicon wafer surface plane and 60º with each other, while another three {111} planes indicated by open blue circle form an angle of 70.5º to the surface plane and 60º to each other

Fig. 2
figure 2

Different shapes formed by < 110 > crystallographic directions at which {111} planes appear during wet anisotropic etching process such as hexagonal, rhombus, triangle, isosceles trapezoid

Fig. 3
figure 3

Schematic demonstration of the wet anisotropically etched profiles of various shapes mask geometries on Si{111} wafer: a mask patterns, b etched profiles after etching in wet anisotropic etchants, and c cross sectional view along different directions. Dashed lines in a show the directions where wet etching will terminate due to the appearance of {111} planes

In the fabrication of microstructures, etch rate is one of the most important characteristics as it influences the fabrication time, which eventually affects the overall production cost. High etch rate is advantageous for the higher industrial throughput. The salient factors that control the etching characteristics are etching time, etching temperature, agitation during etching, and the presence of an additive in the etchant. Lately, the influence of hydroxylamine (NH2OH) on the etching properties of KOH and TMAH is reported [37,38,39,40,41,42,43].

In this paper, we present the wet anisotropic etching characteristics of Si{111} in NaOH-based solution. NaOH solution is modified by addition of NH2OH to alter the etching characteristics. The main objective of the present work is to enhance the undercutting rate at the mask edges aligned along < 112 > direction for the fast release of the microstructures such as microcantilever. Moreover, the effect of etchant age on the etching characteristics is methodically investigated.

Experimental details

In this work, Cz-grown p-type doped Si{111} one side polished four-inch wafers with a resistivity of 1–10 Ωcm are used for the investigation of etching characteristics. An oxide layer of 1 µm thickness deposited using thermal oxidation method is employed as mask and/or structural layer. This oxide layer is patterned using photolithography in which positive photoresist (AZ1512HS) is used as mask for selective etching of oxide layer in buffered hydrofluoric acid (BHF). A thorough rinse in DI water is performed once the photoresist is removed using acetone. Subsequently, the wafer is diced into small samples. These samples are cleaned in a piranha bath (H2SO4:H2O2::1:1) followed by a DI water rinse. A thin layer of oxide is chemically deposited in piranha bath during cleaning process that delays silicon etching process. The cleaned samples are therefore dipped in 1% HF for 1 min to remove chemically grown oxide layer. Thereafter, the samples are thoroughly rinsed in DI water. Now the etching is performed in pure and 12% NH2OH-added 10M sodium hydroxide (NaOH) at 70 ± 1 °C temperature. 10M of NaOH is selected because this concentration provides the high etch rate [29]. The etching experiments are conducted in 1-L etchant solution. To prepare a 1-L solution of 10M NaOH, 400 g of pellets are dissolved in 1000 ml deionized (DI) water. In the case of 1-L 12% NH2OH-added 10M NaOH, 400-gm NaOH pellets, 240 ml of 50% NH2OH and 760 ml DI water are used. To keep the temperature constant during the etching experiment, a constant temperature water bath is used. An etching container made of Teflon is used for the process. The continuous heating of the etchant during etching process changes the concentration of the etchant due to the evaporation of water. Henceforth, a reflex condenser made of thick glass equipped with a double-layered narrow opening is used. A 3D measuring laser microscope (OLYMPUS OLS4000) is used to measure the etch depth, undercutting length and surface roughness. The measurements are carried out at different locations of the samples. The etched surface morphology is characterized using scanning electron microscope (SEM).

Results and discussion

The etching characteristics (e.g., etch rate, surface roughness, and undercutting) of Si{111} in 10M NaOH without and with the addition of 12% NH2OH are investigated. Etch rate and undercutting are determined by measuring the etch depth and lateral undercutting length, respectively, for different etching times. Surface roughness is measured at different locations of the same sample, and then its mean and standard deviation are calculated. The etched surface morphology is analyzed using a SEM. In the subsequent subsections, etching characteristics of Si{111} are systematically presented. To determine the impact of etchant aging on the etching characteristics, successive etching experiments are performed in the same solvent for next 15 days.

Etch Rate

The etch rate is one of the most important parameters to be measured when the etching characteristics of an etchant are investigated. It is characterized as vertical etch depth per unit time as illustrated in Fig. 4. It is required to estimate other parameters such as etching time for the formation of different kinds of microstructures such as cavities/grooves. To calculate the etch rate in pure and NH2OH-added NaOH, etch depths are measured on Si{111} samples etched for different etching times. The results are presented in Fig. 4. The etch rate of Si{111} significantly increases when the NH2OH is added in NaOH solution, which is useful to reduce the etch time to form the microstructures, for instance, cavities. Several factors may affect the etch rate in an etchant such as etchant concentration, etching temperature, loss of reactive species (H2O, OH−, etc.), the amount of substrate dissolved in the etchant, impurities/additives in the etchant, etc. [1, 2]. When the NH2OH is incorporated, the reactive species H2O, OH−, and NH2O− increases [44]. These extra species might be produced from the decomposition of NH2OH as intermediate and final products in the presence of alkaline solutions [44,45,46,47]. Therefore, it is speculated that the etch rate in NH2OH-added NaOH increases due to the presence of extra reactive species.

Fig. 4
figure 4

Etch rate of Si{111} in pure and NH2OH-added NaOH at 70 °C

To investigate the effect of etchant age on the etching characteristics, the same etchant solution is continuously used for the next 15 days. Silicon etching is carried out after every one day for the next five days. Thereafter, etching is performed after every five days. The impact of etchant age on the etch rate is presented in Fig. 5. It can easily be noticed that the etch rate is considerably reduced with etchant age during etching process. It is speculated that the accessibility (or production) of extra reactive species may decline as the etchant age increases, that may lead to decrease in the etch rate [44].

Fig. 5
figure 5

Effect of etchant age (12%NH2OH + 10 M NaOH) on the etch rate

Etched surface morphology

Etched surface morphology is a major concern specifically when the microstructures with uniform depth need to be fabricated or the surface is used for optical applications. The etching parameters, which affect the etch rate, also influence the etched surface roughness and morphology. It is basically a result of non-uniform removal of silicon atoms from the surface during etching process. It happens mainly due to the micro-masking effect [1, 2]. Surface contaminations and hydrogen bubbles predominantly inhibit surface reactions and therefore act as micro-mask during etching process [1, 2, 48,49,50,51].

Figure 6 presents the average surface roughness (Ra) and corresponding surface morphologies of Si{111} etched in pure and NH2OH-added NaOH. The measurement is obtained by scanning a 200 \(\times\) 200 µm2 area across different locations on the same sample using a 3D laser scanning microscope. It can be noticed from the graph that the surface roughness is decreased when NH2OH is added to NaOH. As the etched surface morphology depends on various factors, the results may vary from one laboratory to another laboratory and sample to sample in the same type of etchant under similar etching parameters. To investigate the effect of etchant age, the same methodology, which is used for etching rate study, is followed. Figure 7 exhibits the consequence of etchant aging on the average surface roughness. It can be seen from the results that the aging of the etchant deteriorates the etched surface roughness.

Fig. 6
figure 6

Average surface roughness and corresponding SEM micrographs of Si{111} etched in pure and NH2OH-added NaOH

Fig. 7
figure 7

Effect of etchant age (12%NH2OH + 10M NaOH) on the etched surface roughness and morphology

Undercutting at mask edges

Undercutting refers to the lateral etching that occurs under the masking layer [52]. It has its own advantages and disadvantages. It is a desirable feature for the fabrication of overhanging structures made up of materials exhibiting high etch selectivity with silicon such as SiO2, Si3N4 as schematically illustrated in Fig. 8. In this work, the undercutting rate is investigated at the mask edges aligned < 112 > directions. The results are presented in Fig. 8. It can easily be noticed from the experimental results that the undercutting rate increases significantly with the addition of NH2OH. The primary reason behind the increase of undercutting is the same as explained for etch rate in "Etch Rate" section i.e., the reactive species increase on addition of NH2OH that results in the increase of the undercutting rate at mask edges aligned along < 112 > direction. To demonstrate the application of modified etchant in silicon micromachining, freestanding cantilever beams are fabricated, and the results are presented in Fig. 9.

Fig. 8
figure 8

Lateral undercutting rate at the mask edges aligned along < 112 > direction on Si{111} in pure and NH2OH-added NaOH at 70 °C

Fig. 9
figure 9

SEM images of the cantilever beams fabricated on Si{111} in NaOH + NH2OH solution

It is imperative to examine the effect of etchant aging on the undercutting process to understand the variation in etching characteristics as the etchant ages. The same experimental procedure, which is employed for etch rate study in "Etch Rate" section, is followed to investigate the aging effect. The results are shown in Fig. 10. It can obviously be observed that the lateral undercutting is lessened with the age of the etchant. As discussed previously, the reactive species in NH2OH-added NaOH are reduced with etchant age, which is the main reason behind the decrease in undercutting rate with the age of the etchant. Although the undercutting rate decreases with time, it is still higher than that in pure NaOH. As the etchant age adversely affects the undercutting rate, NH2OH-added NaOH should be used immediately after its preparation to obtain the advantages of higher undercutting.

Fig. 10
figure 10

Effect of etchant age (12%NH2OH + 10M NaOH) on the lateral undercutting rate

Conclusions

A 10M NaOH solution without and with 12% NH2OH is used to investigate the etching characteristics (etch rate, etched surface morphology, and undercutting at < 112 > mask edges) of Si{111} wafers. The incorporation of NH2OH significantly improves the etch rate, which is vital for enhancing productivity. Moreover, the undercutting rate is increased when NH2OH is added in NaOH, which is crucial for the quick release of the microstructures from the substrate. Etched surface morphology is not significantly affected by the addition of NH2OH. Furthermore, the effect of etchant aging on etching characteristics is examined. The etch rate and undercutting rate are suppressed with the etchant age. In addition, the etched surface roughness is adversely impacted by the aging of the solution. Based on the results presented in this paper, it can be concluded that the modified NaOH solution should be used immediately after the addition of NH2OH to achieve a higher undercutting rate at < 112 > mask edges.

Availability of data and materials

Not applicable.

References

  1. Gad-el-Hak M (2002) The MEMS handbook. CRC Press LLC, Boca Raton

    MATH  Google Scholar 

  2. Pal P, Sato K (2017) Silicon wet bulk micromachining for MEMS. Pan Stanford Publishing, Singapore, p 412

    Book  Google Scholar 

  3. Pal P, Sato K (2010) Fabrication methods based on wet etching process for the realization of silicon MEMS structures with new shapes. Microsyst Technol 16:1165–1174

    Article  Google Scholar 

  4. Zubel I (2019) Anisotropic etching of Si. J Micromech Microeng 29(9):93002

    Article  Google Scholar 

  5. Kovacs GT, Malufand NI, Petersen KE (1998) Bulk micromachining of silicon. IEEE Proc 86(8):1536–1351

    Article  Google Scholar 

  6. Shah IA, Van Enckevort WJP, Vlieg E (2010) Absolute etch rates in alkaline etching of silicon (111). Sens Actuators A 164:154–160

    Article  Google Scholar 

  7. Oosterbroek RE, Berenschot JW, Jansen HV, Nijdam AJ, Pandraud G, van den Berg A, Elwenspoek MC (2000) Etching methodologies in <111>-oriented silicon wafers. J Microelectromechanical Syst 9:390–398

    Article  Google Scholar 

  8. Kozhummal R, Berenschot E, Jansen H, Tas N, Zacharias M, Elwenspoek M (2012) Fabrication of micron-sized tetrahedra by Si<111> micromachining and retraction edge lithography. J Micromech Microeng. https://doi.org/10.1088/0960-1317/22/8/085032

  9. Chou BCS, Chen CN, Shie JS (1999) Micromachining on (111)-oriented silicon. Sens Actuators 75:271–277

    Article  Google Scholar 

  10. Lee S, Park S, Cho DI (1999) A new micromachining technique with (111) silicon. Jpn J Appl Phys 38:2699–2703

    Article  Google Scholar 

  11. Lee S, Park S, Cho DI (1999) The surface/bulk micromachining (SBM) process: a new method for fabricating released MEMS in single crystal silicon. J Microelectromech Syst 8:409–416

    Article  Google Scholar 

  12. Hu HH, Lin HY, Fang W, Chou BC (2001) The diagnostic micromachined beams on (111) substrate. Sens Actuators 93:258–265

    Article  Google Scholar 

  13. Rao AVN, Swarnalatha V, Pandey AK, Pal P (2018) Determination of precise crystallographic directions on Si{111} wafers using self-aligning pre-etched pattern. Micro Nano Syst Lett 6(4):1–9

    Google Scholar 

  14. Kwak D, Kim J, Park S, Ko H, Cho DI (2003) Why is (111) silicon a better mechanical material for MEMS: torsion case. In: ASME International Mechanical Engineering Congress and Exposition, Washington, DC, USA, November 15–21, 2003.

  15. Bidney GW, Jin B, Deguzman L, Hutchens TC, Duran JM, Ariyawansa G, Anisimov I, Limberopoulos NI, Urbas AM, Allen KW, Gunapala SD (2022) Fabrication of 3-D light concentrating microphotonic structures by anisotropic wet etching of silicon. In: Advanced Fabrication Technologies for Micro/Nano Optics and Photonics XV, San Francisco, California, United States, 5 March 2022.

  16. Sato K, Shikida M, Matsushima Y, Yamashiro T, Asaumi K, Iriye Y, Yamamoto M (1998) Characterization of orientation-dependent etching properties of single-crystal silicon: effects of KOH concentration. Sens Actuators A 61:87–93

    Article  Google Scholar 

  17. Tanaka H, Yamashita S, Abe Y, Shikida M, Sato K (2004) Fast etching of silicon with a smooth surface in high temperature ranges near the boiling point of KOH solution. Sens Actuators A 114:516–520

    Article  Google Scholar 

  18. Baryeka I, Zubel I (1995) Silicon anisotropic etching in KOH-isopropanol etchant. Sens Actuators A 48:229–238

    Article  Google Scholar 

  19. Zubel I, Rola KP (2017) The effect of monohydric and polyhydric alcohols on silicon anisotropic etching in KOH solutions. Sensors Actuators A 266:145–157

    Article  Google Scholar 

  20. Yang CR, Chen PY, Yang CH, Chiou YC, Lee RT (2005) Effects of various ion-typed surfactants on silicon anisotropic etching properties in KOH and TMAH solutions. Sens Actuators A 119:271–281

    Article  Google Scholar 

  21. Shen J, Chen Y, Zhang F, Zhang D, Gan Y (2019) Morphological and crystallographic evolution of patterned silicon substrate etched in TMAH solutions. Appl Surf Sci 496:143720

    Article  Google Scholar 

  22. Sato K, Shikida M, Yamashiro T, Asaumi K, Iriye Y, Yamamoto M (1999) Anisotropic etching rates of single-crystal silicon for TMAH water solution as a function of crystallographic orientation. Sens Actuators A 73:131–137

    Article  Google Scholar 

  23. Pal P, Sato K, Gosalvez MA, Tang B, Hida H, Shikida M (2011) Fabrication of novel microstructures based on orientation dependent adsorption of surfactant molecules in TMAH solution. J Micromech Microeng 21(1):015008

    Article  Google Scholar 

  24. Bin T, Sato K, Zhang D, Cheng Y (2014) Fast Si (100) etching with a smooth surface near the boiling temperature in surfactant-modified tetramethylammonium hydroxide solutions. Micro Nano Letts 9:582–584

    Article  Google Scholar 

  25. Pakpum C, Pussadee N (2015) Design of experiments for (100) Si vertical wall wet etching using sonicated NaOH solution. Appl Mech Mate 804:12–15

    Article  Google Scholar 

  26. Mohamed SB, Rabha MB, Bessais B (2013) Porous silicon/NaOH texturization surface treatment of crystalline silicon for solar cells. Sol Energy 94:277–282

    Article  Google Scholar 

  27. Brockmeier A, Rodriguez FS, Harrison M, Hilleringmann U (2012) Surface tension and its role for vertical wet etching of silicon. J Micromech Microeng. https://doi.org/10.1088/0960-1317/22/12/125012

    Article  Google Scholar 

  28. Pakpum C (2015) Wet etching technique to reduce pyramidal hillocks for anisotropic silicon etching in NaOH/IPA solution. Key Eng Mate 659:681–685

    Article  Google Scholar 

  29. Akhter P, Aftab B, Mufti A (1989) Dissolution of Si (100) layers in NaOH aqueous solutions. J Phy D 22:1924–1927

    Article  Google Scholar 

  30. Allongue P, Costa-Kieling V, Gerischer H (1993) Etching of silicon in NaOH solutions: II. electrochemical studies of n-Si (111) and (100) and mechanism of the dissolution. J Electrochem Soc 140(4):1018–1026

    Article  Google Scholar 

  31. Swarnalatha V, Purohit S, Pal P, Sharma RK (2022) Enhanced etching characteristics of Si 100 in NaOH-based two-component solution. Micro and Nano Syst Letts 10(1):1–8

    Google Scholar 

  32. Purohit S, Swarnalatha V, Pandey AK, Sharma RK, Pal P (2022) Wet bulk micromachining characteristics of Si{110} in NaOH-based solution. J Micromech Microeng 32(12):124001(12pp)

    Article  Google Scholar 

  33. Reisman A, Berkenblit M, Chan SA, Kaufmann FB, Green DC (1979) The controlled etching of silicon in catalyzed ethylene-diamine-pyrochatechol-water solutions. J Electrochem Soc 126:1406–1415

    Article  Google Scholar 

  34. Schnakenberg U, Benecke W, Lochel B, Ullerich S, Lange P (1990) NH4OH-based etchants for silicon micromachining: Influence of additives and stability of passivation layers. Sens Actuators A 25–27:1–7

    Article  Google Scholar 

  35. Clarck LD, Lund JL, Edell DJ (1988) Cesium hydroxide (CsOH): A useful etchant for micromachining silicon. In: Tech. Digest, IEEE Solid State Sensor, and Actuator Workshop, Hilton Head Island, SC.

  36. Gajda MA, Ahmed H, Shaw JEA, Putnis A (1994) Anisotropic etching of silicon in hydrazine. Sens Actuators A 40:227–236

    Article  Google Scholar 

  37. Swarnalatha V, Rao AVN, Ashok A, Singh SS, Pal P (2017) Modified TMAH based etchant for improved etching characteristics on Si{100} wafer. J Micromech Microeng 27(8):085003(8pp)

    Article  Google Scholar 

  38. Swarnalatha V, Rao AVN, Pal P (2018) Effective improvement in the etching characteristics of Si{110} in low concentration TMAH solution. Micro Nano Lett 13(8):1085–1089

    Article  Google Scholar 

  39. Swarnalatha V, Pal P, Pandey AK, Rao AVN, Xing Y, Tanaka H, Sato K (2020) Systematic study of the etching characteristics of Si{111} in modified TMAH. Micro Nano Lett 15(1):52–57

    Article  Google Scholar 

  40. Rao AVN, Swarnalatha V, Ashok A, Singh SS, Pal P (2017) Effect of NH2OH on etching characteristics of Si{100} in KOH solution. ECS J Solid State Sci Technol 6(9):609–614

    Article  Google Scholar 

  41. Rao AVN, Swarnalatha V, Pal P (2017) Etching characteristics of Si{110} in 20 wt% KOH with addition of hydroxylamine for the fabrication of bulk micromachined MEMS. Micro Nano Syst Lett 5(23):1–9

    Google Scholar 

  42. Rao AVN, Pal P, Swarnalatha V, Pandey AK, Menon PK, Tanaka H, Sato K (2019) Aging effects of KOH+NH2OH solution on the etching characteristics of silicon. ECS J Solid State Sci Technol 8(11):685–692

    Article  Google Scholar 

  43. Pal P, Swarnalatha V, Rao AVN, Pandey AK, Tanaka H, Sato K (2021) High speed silicon wet anisotropic etching for applications in bulk micromachining: a review. Micro Nano Syst Lett 9(4):1–59

    Google Scholar 

  44. Swarnalatha V, Vismaya KT, Rao AVN, Pal P, Pandey AK, Tanaka H, Sato K (2020) Etching mechanism behind the high-speed etching of silicon in nh2oh-added alkaline solutions. IEEJ Transac Sens Micromach 140:24–30

    Article  Google Scholar 

  45. Hughes MN, Nicklin HG (1971) Autoxidation of hydroxylamine in alkaline solutions. J Chem Soc A: Inorg, Phys, Theor 1:164–168

    Article  Google Scholar 

  46. Chunyang W (2006) Thermal runaway reaction hazard and decomposition mechanism of the hydroxylamine system. Dissertation, Texas A&M University

  47. Chunyang W, Saraf SR, Rogers WJ, Mannan MS (2004) Thermal runaway reaction hazards and mechanisms of hydroxylamine with acid/base contaminants. Thermochim Acta 421:1–9

    Article  Google Scholar 

  48. Yang CR, Chen PY, Chiou YC, Lee RT (2005) Effects of mechanical agitation and surfactant additive on silicon anisotropic etching in alkaline KOH solution. Sens Actuators A 119:263–270

    Article  Google Scholar 

  49. Gosalvez MA, Pal P, Tang B, Sato K (2010) Atomistic mechanism for the macroscopic effects induced by small additions of surfactants to alkaline etching solutions. Sens Actuators A 157:91–95

    Article  Google Scholar 

  50. Pal P, Sato K, Gosalvez MA, Kimura Y, Ishibashi K, Niwano M, Hida H, Tang B, Itoh S (2009) Surfactant adsorption on single crystal silicon surfaces in TMAH solution: orientation-dependent adsorption detected by in-situ infra-red spectroscopy. J Microelectromech Syst 18:1345–1356

    Article  Google Scholar 

  51. Cheng D, Gosalvez MA, Hori T, Sato K, Shikida M (2006) Improvement in smoothness of anisotropically etched silicon surfaces: effects of surfactant and TMAH concentrations. Sens Actuators A 125:415–421

    Article  Google Scholar 

  52. Pal P, Sato K (2015) A comprehensive review on convex and concave corners in silicon bulk micromachining based on anisotropic wet chemical etching. Micro Nano Syst Letts 3:1–42

    Google Scholar 

Download references

Acknowledgements

We greatly acknowledge CSIR for financial support.

Funding

This work was supported by research grant from the Council of Scientific and Industrial Research (CSIR, Ref: 22(0824)/19/EMR-II,0527/NS).

Author information

Authors and Affiliations

Authors

Contributions

SP and VS did experiments and have made equal contributions. SP, VS and PP wrote the manuscript. AKP reviewed and edited the manuscript. All authors read and approved the final manuscript.

Corresponding author

Correspondence to P. Pal.

Ethics declarations

Ethics approval and consent to participate

Not applicable.

Consent for publication

All authors agreed to this publication.

Competing interests

The authors declare that they have no competing interests.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Open Access This article is licensed under a Creative Commons Attribution 4.0 International License, which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons licence, and indicate if changes were made. The images or other third party material in this article are included in the article's Creative Commons licence, unless indicated otherwise in a credit line to the material. If material is not included in the article's Creative Commons licence and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this licence, visit http://creativecommons.org/licenses/by/4.0/.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Purohit, S., Swarnalatha, V., Pandey, A.K. et al. Wet anisotropic etching characteristics of Si{111} in NaOH-based solution for silicon bulk micromachining. Micro and Nano Syst Lett 10, 21 (2022). https://doi.org/10.1186/s40486-022-00162-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1186/s40486-022-00162-7

Keywords